SystemVerilogによるテストベンチ実践会(2017夏)

2017/08/26(土)10:20 〜 18:00 開催
ブックマーク

イベント内容

SystemVerilogによるテストベンチ実践会です。

CQ出版のSysytemVerilog設計スタートアップをベースに活動します。

アマゾンで売り切れの時は、CQ出版で購入できます。

Vivado ISimのSystemVerilog DPI-Cについては、 UG900のDirect Programming Interface (DPI) in Vivado Simulatorを読んでおいてください。 Cプログラムのコンパイルは、Vivado内蔵のxscコンパイラを使います。

筑波大の武内先生のDPI-Cまとめ、ModelSimの場合

参加者が準備するもの

  • 受付票 (このページの右側の「受付票の発行」から参照できます)
  • 会場利用料金、参加者各自(1000円)
  • SystemVerilog設計スタートアップ (任意)
  • PC (Xilinx Vivado XSIM or Intel ModelSim FPGA Editonをインストールし、動作確認しておいてください)
  • Wifiは利用できます
  • 勉強会後、懇親会を行います (予算は2000円 + 1000円)、申込の時に、懇親会参加にチェックしてください。

活動は、チームにて行います。

受付時(5F)に、会場のスタッフに会場利用料金として1000円支払いをしてください。

懇親会参加者は懇親会費として+2000円です。(飲み物:ビール類、ジュース、お茶、食べ物:ピザ、スナック菓子)

  • 10:00 〜 10:20 : 受付 (10時までは、会場に入れません。 一階のエレベータホールで10時までお待ちください。)
  • 10:20 〜 10:40 : はじめに、@Vengineer (チーム分け)
  • 10:40 〜 11:00 : Zynq VIP利用したテストベンチ、@Vengineer
  • 11:00 〜 17:00 : チーム活動 (チーム活動中に、活動報告資料も作成していただきます) 昼食時間は特に設けませんので、各自取ってください
  • 17:00 〜 17:40 : 各チームの活動報告 (各チームの10分間のLT)、最大4チームを想定しています。
  • 17:40 〜 18:00 : 終わりに、@Vengineer
  • 18:00 〜 20:00 : 懇親会

SystemVerilog初めて人 (1チーム5名 x 2チーム)

次の内容で活動をします (主催者@Vengineerがちょっとだけサポートします) 活動の最初に、テストベンチとテストプログラムについて簡単に説明します。

  • テストベンチとは
  • タスクによるテストプログラム
  • BFMによるテストプログラム

Zynq VIPを使いたい人 (1チーム5名 x 2チーム)

Xilinx(XSIM)限定、Vivado 2017.1以降

  • ZYNQ-7000 VIPを利用したテストベンチ
  • (ZYNQ-MPSOC VIPは、2017.3にてサポートするようです)

Zynq VIPを使うことで、シミュレーション時にC言語にてテストプログラムが書けるようになりました。

ただし、Vivado 2017.2のxsimではできないことが判明しました。(2017.7.16、追記) DPI-Cのexport taskがどうやらまだサポートされていないようです。 ModelSim ではできるのですがね。。。。

以下は、参考情報としてください。 2009年12月にCQ出版社の半導体ネットにアップした 無償ツールで実践する「ハード・ソフト協調検証」をベースに活動します。 AlteraのAVALONベースですが、AXIでも基本的には同じです。

注意事項

※ こちらのイベント情報は、外部サイトから取得した情報を掲載しています。
※ 掲載タイミングや更新頻度によっては、情報提供元ページの内容と差異が発生しますので予めご了承ください。
※ 最新情報の確認や参加申込手続き、イベントに関するお問い合わせ等は情報提供元ページにてお願いします。

関連するイベント